• Documents
  • Authors
  • Tables
  • Log in
  • Sign up
  • MetaCart
  • DMCA
  • Donate

CiteSeerX logo

Advanced Search Include Citations

Tools

Sorted by:
Try your query at:
Semantic Scholar Scholar Academic
Google Bing DBLP
Results 1 - 10 of 816
Next 10 →

Clock Rate versus IPC: The End of the Road for Conventional Microarchitectures

by Vikas Agarwal, M.S. Hrishikesh, Stephen W. Keckler, Doug Burger , 2000
"... The doubling of microprocessor performance every three years has been the result of two factors: more transistors per chip and superlinear scaling of the processor clock with technology generation. Our results show that, due to both diminishing improvements in clock rates and poor wire scaling as se ..."
Abstract - Cited by 324 (23 self) - Add to MetaCart
performance---estimating both clock rate and IPC--- of an aggressive out-of-order microarchitecture as it is scaled from a 250nm technology to a 35nm technology. We perform this analysis for three clock scaling targets and two microarchitecture scaling strategies: pipeline scaling and capacity scaling. We

The validation buffer out-of-order retirement microarchitecture

by S. Petit, J. Sahuquillo, P. López, J. Duato , 2007
"... Current superscalar processors commit instructions in program order by using a reorder buffer (ROB). The ROB provides support for speculation, precise exceptions, and register reclamation. However, committing instructions in program order may lead to significant performance degradation if a long lat ..."
Abstract - Cited by 1 (1 self) - Add to MetaCart
to manage checkpoints and the enlargement of other major processor structures, which in turn might impact the processor cycle. This paper focuses on out-of-order commit in a nonspeculative way, thus avoiding checkpoints. To this end, we replace the ROB with a validation buffer (VB) structure. This structure

Tasking with out-of-order spawn in TLS chip multiprocessors: Microarchitecture and compilation

by Jose Renau, James Tuck, Wei Liu, Luis Ceze, Karin Strauss, Josep Torrellas - In ICS , 2005
"... Chip Multiprocessors (CMPs) are flexible, high-frequency platforms on which to support Thread-Level Speculation (TLS). However, for TLS to deliver on its promise, CMPs must exploit multiple sources of speculative task-level parallelism, including any nesting levels of both subroutines and loop itera ..."
Abstract - Cited by 20 (4 self) - Add to MetaCart
, this paper is the first to propose a set of microarchitectural mechanisms that, altogether, fundamentally enable fast TLS with out-of-order spawn in a CMP. Moreover, we develop a fully-automated TLS compiler for aggressive out-of-order spawn. With our mechanisms, a TLS CMP with four 4-issue cores achieves

A Complexity-Effective Out-of-Order Retirement Microarchitecture

by S. Petit, J. Sahuquillo, P. López, R. Ubal, J. Duato
"... Current superscalar processors commit instructions in program order by using a reorder buffer (ROB). The ROB provides support for speculation, precise exceptions, and register reclamation. Howe-ver, committing instructions in program order may lead to significant performance degradation if a long la ..."
Abstract - Cited by 2 (0 self) - Add to MetaCart
to manage checkpoints and the enlargement of other major processor structures, which in turn might impact the processor cycle. This paper focuses on out-of-order commit in a nonspeculative way, thus avoiding checkpointing. To this end, we replace the ROB with a validation buffer (VB) structure

Token flow control

by Amit Kumar, et al.
"... As companies move towards many-core chips, an efficient onchip communication fabric to connect these cores assumes critical importance. To address limitations to wire delay scalability and increasing bandwidth demands, state-of-the-art on-chip networks use a modular packet-switched design with route ..."
Abstract - Cited by 635 (35 self) - Add to MetaCart
As companies move towards many-core chips, an efficient onchip communication fabric to connect these cores assumes critical importance. To address limitations to wire delay scalability and increasing bandwidth demands, state-of-the-art on-chip networks use a modular packet-switched design

An Efficient Low-Complexity Alternative to the ROB for Out-of-Order Retirement of Instructions

by S. Petit, R. Ubal, J. Sahuquillo, J. Duato
"... Current superscalar processors use a Reorder Buffer (ROB) to support speculation, precise exceptions, and reg-ister reclamation. Instructions are retired from this struc-ture in program order, which may lead to significant perfor-mance degradation if a long latency operation blocks the ROB head. In ..."
Abstract - Add to MetaCart
. In this paper, a checkpoint-free out-of-order commit architecture is proposed, which replaces the ROB with a small structure called Validation Buffer (VB) from which instructions are retired as soon as their speculative state is resolved. An aggressive register reclamation mech-anism targeted

Validation of Speculative and Out-of-order Execution Microarchitecture

by Noppanunt Utamaphethai Shawn, R. D. (shawn Blanton, John Paul Shen - In Proc. Intl. Workshop on Microprocessor Test and Verification , 1998
"... We validate speculative and out-of-order execution microarchitecture using an ATPG-like methodology. The validation methodology uses FSM models derived from microarchitecture specifications. Complete transition tours are generated from the FSM models to obtain a high-level test sequence. Small assem ..."
Abstract - Add to MetaCart
We validate speculative and out-of-order execution microarchitecture using an ATPG-like methodology. The validation methodology uses FSM models derived from microarchitecture specifications. Complete transition tours are generated from the FSM models to obtain a high-level test sequence. Small

Out-of-order commit processors

by Adrian Cristal, Josep Llosa, Mateo Valero, Cataluña Hewlett, Packard Labs - In Proceedings of the 10th International Symposium on High Performance Computer Architecture , 2004
"... Modern out-of-order processors tolerate long latency memory operations by supporting a large number of inflight instructions. This is particularly useful in numerical applications where branch speculation is normally not a problem and where the cache hierarchy is not capable of delivering the data s ..."
Abstract - Cited by 59 (12 self) - Add to MetaCart
Modern out-of-order processors tolerate long latency memory operations by supporting a large number of inflight instructions. This is particularly useful in numerical applications where branch speculation is normally not a problem and where the cache hierarchy is not capable of delivering the data

Modeling the effect of technology trends on the soft error rate of combinational logic

by Premkishore Shivakumar, Michael Kistler, Stephen W. Keckler, Doug Burger, Lorenzo Alvisi, Ibm Technical, Contacts John Keaty, Rob Bell, Ram Rajamony , 2002
"... This paper examines the effect of technology scaling and microarchitectural trends on the rate of soft errors in CMOS memory and logic circuits. We describe and validate an end-to-end model that enables us to compute the soft error rates (SER) for existing and future microprocessor-style designs. Th ..."
Abstract - Cited by 374 (8 self) - Add to MetaCart
. The model captures the effects of two important masking phenomena, electrical masking and latchingwindow masking, which inhibit soft errors in combinational logic. We quantify the SER in combinational logic and latches for feature sizes from 600nm to 50nm and clock rates from 16 to 6 fan-out-of-4 delays

Verifying Out-of-Order Executions

by W. Damm, A. Pnueli - Advances in Hardware Design and Verification: IFIP WG 10.5 Internatinal Conference on Correct Hardware Design and Verification Methods (CHARME , 1997
"... The paper presents an approach to the specification and verification of out-of-order execution in the design of micro-processors. Ultimately, the appropriate statement of correctness is that the out-of-order execution produces the same final state (and all relevant intermediate actions, such as writ ..."
Abstract - Cited by 24 (1 self) - Add to MetaCart
The paper presents an approach to the specification and verification of out-of-order execution in the design of micro-processors. Ultimately, the appropriate statement of correctness is that the out-of-order execution produces the same final state (and all relevant intermediate actions
Next 10 →
Results 1 - 10 of 816
Powered by: Apache Solr
  • About CiteSeerX
  • Submit and Index Documents
  • Privacy Policy
  • Help
  • Data
  • Source
  • Contact Us

Developed at and hosted by The College of Information Sciences and Technology

© 2007-2019 The Pennsylvania State University