• Documents
  • Authors
  • Tables
  • Log in
  • Sign up
  • MetaCart
  • DMCA
  • Donate

CiteSeerX logo

Advanced Search Include Citations

Tools

Sorted by:
Try your query at:
Semantic Scholar Scholar Academic
Google Bing DBLP
Results 1 - 10 of 10,061
Next 10 →

SMARTS: Accelerating Microarchitecture Simulation via Rigorous Statistical Sampling

by Roland E. Wunderlich, Thomas F. Wenisch, Babak Falsafi, James C. Hoe - in Proceedings of the 30th annual international symposium on Computer architecture , 2003
"... Current software-based microarchitecture simulators are many orders of magnitude slower than the hardware they simulate. Hence, most microarchitecture design studies draw their conclusions from drastically truncated benchmark simulations that are often inaccurate and misleading. This paper presents ..."
Abstract - Cited by 258 (25 self) - Add to MetaCart
the Sampling Microarchitecture Simulation (SMARTS) framework as an approach to enable fast and accurate performance measurements of full-length benchmarks. SMARTS accelerates simulation by selectively measuring in detail only an appropriate benchmark subset. SMARTS prescribes a statistically sound procedure

Direct smarts: Accelerating microarchitectural simulation through direct execution

by Shelley Chen , 2004
"... James C. Hoe, Assistant Professor of ECE Due to growing complexity and costs of hardware systems, computer architects traditionally rely on software simulation to evaluate new designs. Although software simulation excels in convenience and flexibility, it suffers from prohibitively long turnaround t ..."
Abstract - Cited by 6 (0 self) - Add to MetaCart
architectural state and select microarchitectural structures. This paper presents direct warming as an efficient technique for accelerating functional warming. Direct warming extends direct execution, in which the simulated program code is executed natively on the host machine hardware rather than through

Temperature-aware microarchitecture

by Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan - In Proceedings of the 30th Annual International Symposium on Computer Architecture , 2003
"... With power density and hence cooling costs rising exponentially, processor packaging can no longer be designed for the worst case, and there is an urgent need for runtime processor-level techniques that can regulate operating temperature when the package’s capacity is exceeded. Evaluating such techn ..."
Abstract - Cited by 478 (52 self) - Add to MetaCart
. Validation was performed using finiteelement simulation. The paper also introduces several effective methods for dynamic thermal management (DTM): “temperaturetracking” frequency scaling, localized toggling, and migrating computation to spare hardware units. Modeling temperature at the microarchitecture

Social force model for pedestrian dynamics

by Dirk Helbing, Péter Molnár - Physical Review E , 1995
"... It is suggested that the motion of pedestrians can be described as if they would be subject to ‘social forces’. These ‘forces ’ are not directly exerted by the pedestrians ’ personal environment, but they are a measure for the internal motivations of the individuals to perform certain actions (movem ..."
Abstract - Cited by 504 (25 self) - Add to MetaCart
(movements). The corresponding force concept is discussed in more detail and can be also applied to the description of other behaviors. In the presented model of pedestrian behavior several force terms are essential: First, a term describing the acceleration towards the desired velocity of motion. Second

View Interpolation for Image Synthesis

by Shenchang Eric Chen, et al.
"... Image-space simplifications have been used to accelerate the calculation of computer graphic images since the dawn of visual simulation. Texture mapping has been used to provide a means by which images may themselves be used as display primitives. The work reported by this paper endeavors to carry t ..."
Abstract - Cited by 603 (0 self) - Add to MetaCart
Image-space simplifications have been used to accelerate the calculation of computer graphic images since the dawn of visual simulation. Texture mapping has been used to provide a means by which images may themselves be used as display primitives. The work reported by this paper endeavors to carry

A Hierarchical Internet Object Cache

by Anawat Chankhunthod , Peter B. Danzig, Chuck Neerdaels, Michael F. Schwartz, Kurt J. Worrell - IN PROCEEDINGS OF THE 1996 USENIX TECHNICAL CONFERENCE , 1995
"... This paper discusses the design andperformance of a hierarchical proxy-cache designed to make Internet information systems scale better. The design was motivated by our earlier trace-driven simulation study of Internet traffic. We believe that the conventional wisdom, that the benefits of hierarch ..."
Abstract - Cited by 496 (6 self) - Add to MetaCart
This paper discusses the design andperformance of a hierarchical proxy-cache designed to make Internet information systems scale better. The design was motivated by our earlier trace-driven simulation study of Internet traffic. We believe that the conventional wisdom, that the benefits

Complexity-effective superscalar processors

by Subbarao Palacharla, J. E. Smith, et al. - IN PROCEEDINGS OF THE 24TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE , 1997
"... The performance tradeoff between hardware complexity and clock speed is studied. First, a generic superscalar pipeline is defined. Then the specific areas of register renaming, instruction window wakeup and selection logic, and operand bypassing are ana-lyzed. Each is modeled and Spice simulated for ..."
Abstract - Cited by 467 (5 self) - Add to MetaCart
The performance tradeoff between hardware complexity and clock speed is studied. First, a generic superscalar pipeline is defined. Then the specific areas of register renaming, instruction window wakeup and selection logic, and operand bypassing are ana-lyzed. Each is modeled and Spice simulated

DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design

by Todd M. Austin - In Proc. 32nd Annual Intl. Symp. on Microarchitecture , 1999
"... Building a high-petformance microprocessor presents many reliability challenges. Designers must verify the correctness of large complex systems and construct implementations that work reliably in varied (and occasionally adverse) operating conditions. To&rther complicate this task, deep submicro ..."
Abstract - Cited by 374 (15 self) - Add to MetaCart
submicron fabrication technologies present new reliability challenges in the form of degraded signal quality and logic failures caused by natural radiation interference. In this paper; we introduce dynamic verification, a novel microarchitectural technique that can significantly reduce the burden

Approximate accelerated stochastic simulation of chemically reacting systems

by Daniel T Gillespie - J. Chem. Phys , 2001
"... The stochastic simulation algorithm ͑SSA͒ is an essentially exact procedure for numerically simulating the time evolution of a well-stirred chemically reacting system. Despite recent major improvements in the efficiency of the SSA, its drawback remains the great amount of computer time that is ofte ..."
Abstract - Cited by 346 (6 self) - Add to MetaCart
The stochastic simulation algorithm ͑SSA͒ is an essentially exact procedure for numerically simulating the time evolution of a well-stirred chemically reacting system. Despite recent major improvements in the efficiency of the SSA, its drawback remains the great amount of computer time

Clock Rate versus IPC: The End of the Road for Conventional Microarchitectures

by Vikas Agarwal, M.S. Hrishikesh, Stephen W. Keckler, Doug Burger , 2000
"... The doubling of microprocessor performance every three years has been the result of two factors: more transistors per chip and superlinear scaling of the processor clock with technology generation. Our results show that, due to both diminishing improvements in clock rates and poor wire scaling as se ..."
Abstract - Cited by 324 (23 self) - Add to MetaCart
as semiconductor devices shrink, the achievable performance growth of conventional microarchitectures will slow substantially. In this paper, we describe technology-driven models for wire capacitance, wire delay, and microarchitectural component delay. Using the results of these models, we measure the simulated
Next 10 →
Results 1 - 10 of 10,061
Powered by: Apache Solr
  • About CiteSeerX
  • Submit and Index Documents
  • Privacy Policy
  • Help
  • Data
  • Source
  • Contact Us

Developed at and hosted by The College of Information Sciences and Technology

© 2007-2019 The Pennsylvania State University